This chart helps to explain how equipment usage within Fab.nano's B39 (MTL) fabrication facilities will be billed to the user. If you are looking for information about equipment that is located in B12 (NANO) then please visit the Fab.nano Tools site where you will find information on each piece of equipment as well as further details for B39 equipment.
Note that units of measure vary by equipment and that it is organized by lab location. Please note that Javascript must be enabled to make use of all chart functionalities.
Download the chart as an Excel spreadsheet or PDF:
machinechargechart.xlsx | machinechargechart.pdf
ID | Lab | Process Area | Where | CORAL Name | It is: | It does: | Maker/Model | Units | per:(self-run) | if staff |
---|---|---|---|---|---|---|---|---|---|---|
1 | EBL | e-litho | 24-041 | Elionix | 125 keV, hi-res e-beam writer | e-beam write | Elionix F-125 | 9 | hour | 9 |
2 | EML | Wet | 39-5 | acid-hood-EML | fume hood | acid wet etch | 8 | hour | 12 | |
3 | EML | Photo | 39-5F 1-South Photo | coater-EML | spinner | coats PR | Solitec | 2 | wafer | 4 |
4 | EML | Photo | 39-5F 3-South Packaging | hotpress | hydraulic press | applies loads ~100 kN, electrically heats to ~340 C; used on thermoplastic films (eg, PMMA) | Carver 4386 | 8 | hour | 12 |
5 | EML | Metrology | 39-5 | parametric-tester | parametric-tester | measures device characteristics | Hewlett-Packard | 2 | hour | 4 |
6 | EML | Wet | 39-5F 1-South Photo | photo-hood-EML | fume hood | solvent wet etch | 8 | run | 12 | |
7 | EML | PECVD-RIE | 39-5F 3-South Packaging | plasmatherm | dual chamber PECVD-RIE | etches & deposits dielectrics; heated chuck | Plasmatherm SL-700 | 7 | wafer+thickness | 14 |
8 | EML | Wet | 39-5F 1-South Photo | SolventHood-EML | fume hood for solvents, no drain | solvent chemistry | 8 | run | 12 | |
9 | ICL | Metrology | 39-2F 1-South Metrology | 4-pt-probe | resistivity measurement system | resistivity measurement | 2 | hour | 4 | |
10 | ICL | Diffusion | 39-2F 4-North Diffusion | 5A-GateOx | atmosph. diffusion tube | for gate oxide, CMOS only | Thermco 10K | 25 | run+steam | 39 |
11 | ICL | Diffusion | 39-2F 4-North Diffusion | 5B-Anneal | atmosph. diffusion tube | for annealing, including Concept1 films | Thermco 10K | 25 | run+steam | 39 |
12 | ICL | Diffusion | 39-2F 4-North Diffusion | 5C-FieldOx | atmosph. diffusion tube | for oxidation | Thermco 10K | 25 | run+steam | 39 |
13 | ICL | Diffusion | 39-2F 4-North Diffusion | 5D-ThickOx | atmosph. diffusion tube | for oxidation, CMOS only | Thermco 10K | 25 | run+steam | 39 |
14 | ICL | Diffusion | 39-2F 4-North Diffusion | 6A-nPoly | low P diffusion tube | LPCVD plysilicon; CMOS only | Thermco 10K | 65 | run+70/um | 74 |
15 | ICL | Diffusion | 39-2F 4-North Diffusion | 6D-Nitride | low P diffusion tube | LPCVD stoichiometric Si nitride | Thermco 10K | 65 | run+70/um | 74 |
16 | ICL | metrology | 39-2F 2-North Etch | AFM | Atomic Force Microscope | surface topology | Veeco D3100 | 9 | hour | 12 |
17 | ICL | Deposition | 39-2F 1-North Deposition | ALD | atomic layer dep | atomic layer dep: Al,Hf,Ti ox, TiN (precursors =Al, Hf, W, H2O, NH3, O3) | Cambridge Nanotech | 7 | wafer+thickness | 21 |
18 | ICL | Deposition | 39-2F 1-South Metrology | ALD-Oxford | plasma-assisted atomic layer dep | plasma-ALD: Al,Hf,Ti ox;W,Ti nitr( precursors=Al, Hf, W, Ti, Si, H2O, O2, NH3, O3) | Oxford FlexAl | 7 | wafer+thickness | 21 |
19 | ICL | Etch | 39-2F 2-South DepAndEtch | AME5000 | plasma etcher | Chamber A: SiO2, BPSG, LTO; Chamber B: Si nitride, poly | AMAT Precision 5000 | 7 | wafer+thickness | 14 |
20 | ICL | Photo | 39-2F 3-South Photo | asher-ICL | plasma asher | strip PR | Matrix 106 | 2 | run | 4 |
21 | ICL | Deposition | 39-2F 1-North Deposition | concept1 | dielectric plasma dep | deposits oxide, nitride, TEOS | Novellus Concept 1 | 7 | wafer | 14 |
22 | ICL | Metrology | 39-2F 1-South Metrology | cv | CV bridge | measures capacitance vs voltage | Boonton | 1 | wafer | 3 |
23 | ICL | Deposition | 39-2F 4-South Diffusion | DCVD | dielectric plasma dep | deposits oxide, nitride | AMAT Centura 5200 | 7 | wafer+thickness | 14 |
24 | ICL | pkg | 39-558 | diesaw | diesaw | dices wafers | Disco DAD-2H/6T | 3 | wafer+cut | 6 |
26 | ICL | Deposition | 39-2F 2-South Etch | eBeam-EVO | metal evaporator | Al, Ir, Pt, Co, W, Er, Ti, Mo, SiO2, Si, Ta | Angstrom Engineering EvoVac | 20 | wafer+material | 27 |
27 | ICL | Deposition | 39-2F 1-North Deposition | endura | metal sputtering system | sputters Ti, TiN, Al, AlSi | AMAT Endura | 10 | wafer+material | 20 |
28 | ICL | Deposition | 39-528 | epi-Centura | Ultra Hi-vac chem vapor dep | grows Si & SiGe epilayers | AMAT Centura 5200 | 32 | run+material | 32 |
29 | ICL | Photo | 39-2F 3-North Photo | i-stepper | wafer stepper | patterns wafers, 5x reduction, 0.5 um resolution | Nikon NSR-2005i9 i-line(365nm) | 6 | wafer | 9 |
30 | ICL | Etch | 39-2F 2-North Etch | LAM490B | plasma etcher | for Si & nitride [gases=C2F6,SF6,Cl2,He,O2] | LAM 490B | 7 | wafer+thickness | 14 |
31 | ICL | Etch | 39-2F 2-North Etch | LAM590-ICL | plasma etcher | for oxide [gases=CHF3,CF4,He,O2] | LAM 590B | 7 | wafer+thickness | 14 |
32 | ICL | Wet | 39-2F 3-South Photo | nitrEtch-HotPhos | wet station | wet etches nitride (1st tank) | Semifab WPS-400 | 25 | run | 32 |
33 | ICL | Wet | 39-2F 3-South Photo | oxEtch-BOE | wet station | wet etch oxide | Semifab WPS-400 | 25 | run | 32 |
34 | ICL | PECVD-RIE | 39-2F 1-NorthExtension Deposition | Oxford-100 | plasma etch/dep | for Si, nitride, TEOS [gases=C2F6,SF6,Cl2,He,O2; NH3,SiH4] | Oxford Plasmalab System 100 | 7 | wafer+thickness | 14 |
35 | ICL | Metrology | 39-2F 1-South Metrology | P10 | profilometer | measures surface roughness | Tencor/Prometrix P-10 | 5 | hour | 10 |
36 | ICL | Wet | 39-2F 2-South DepAndEtch | premetal-Piranha | wet station | for piranha & HF dip | Semifab WPS-400 | 25 | run | 32 |
36 | ICL | Photo | 39-2F 3-South Photo | pTrack | wafer track | coats and develops photoresist | 2 | wafer | 4 | |
37 | ICL | Etch | 39-2F 1-Hallway Etch | rainbow | plasma etcher | for metal (Al, Ti) [gases=SF6,Cl2,BCl3,CHCl3,N2] | LAM 9600 | 7 | wafer | 14 |
38 | ICL | Diffusion | 39-2F 4-South Diffusion | rca-ICL | wet station | RCA clean | 25 | run | 39 | |
39 | ICL | Diffusion | 39-2F 4-South Diffusion | RTA-NoMetal | rapid thermal annealer | anneals samples without metal | Annealsys150 | 4 | wafer | 5 |
40 | ICL | Diffusion | 39-2F 4-South Diffusion | RTA-pieces | rapid thermal annealer | non-Au, accepts ≤3" wf & pieces | Annealsys | 4 | wafer | 5 |
41 | ICL | Metrology | 39-2F 3-North Photo | semZeiss | low-V scanning electron microscope | scanning electron imaging | Zeiss Supra 40 | 9 | hour | 12 |
42 | ICL | Metrology | 39-2 | SM-300 | optical thin film measurement tool (for unpatterned wafers) | film thicness measurements | Prometrix (now KLA-Tencor) SM-300 | 5 | hour | 10 |
43 | ICL | Wet | 39-5F 2-North | TMAH-KOHhood | wet bath | KOH & TMAH etching | fume hood | 25 | run | 32 |
44 | ICL | Metrology | 39-2F 1-South Metrology | UV1280 | spectroscopic ellipsometer | measures film thickness (single, stacks, PR) | Tencor/Prometrix UV-1280 | 5 | hour | 10 |
45 | ICL | Diffusion | 39-2F 4-North Diffusion | VTR | vertical thermal reactor | low-stress nitride | SVG/Thermco 7000 | 65 | run+70/um | 74 |
46 | ICL | Metrology | 39-2F 1-South Metrology | wykoICL | non-contact profiler | surface topology; up to 500um vertical scan | Wyko NT3300 | 5 | hour | 10 |
47 | TRL | Wet | 39-5F 3-South Packaging | 2Dtransfer-platingHood | wet station | substrate transfer of graphene flakes | 25 | run | 32 | |
48 | TRL | Diffusion | 39-4F Entry Diffusion | A1-GateOx | atmosph. diffusion tube | for gate oxide | MRL 718 | 25 | run+steam | 39 |
49 | TRL | Diffusion | 39-4F Entry Diffusion | A2-WetOxBond | atmosph. diffusion tube | wafer bonding | MRL 718 | 25 | run+steam | 39 |
50 | TRL | Diffusion | 39-4F Entry Diffusion | A3-Sinter | atmosph. diffusion tube | CMOS metal sintering | MRL 718 | 25 | run | 39 |
51 | TRL | Diffusion | 39-4F Entry Diffusion | A4-III-Vanneal | atmosph. diffusion tube | anneal III-Vs; has O2 | MRL 718 | 25 | run | 39 |
52 | TRL | Wet | 39-4F Main-South | acid-hood | wet station | wet etch | Laminaire | 25 | run | 32 |
53 | TRL | Deposition | 39-4F Deposition | AJA-TRL | sputterer | Al, Cr, Cu, Au,Ag, Ti | AJA ATC | 20 | hour+wafer+material | 30 |
54 | TRL | Photo | 39-4F Main-South | asherMatrix-TRL | plasma photoresist stripper (single-wafer) | photoresist stripper | Matrix 106 | 2 | run | 4 |
55 | TRL | Photo | 39-4F Main-North | asher-TRL | plasma photoresist stripper (2 chambers) | photoresist stripper | Branson | 2 | run | 4 |
56 | TRL | Diffusion | 39-4F Entry Diffusion | B1-Au | atmosph. diffusion tube | gold exposure | MRL 718 | 25 | run+steam | 39 |
57 | TRL | Diffusion | 39-4F Entry Diffusion | B2-Ox-alloy-Poly | low pressure diffusion tube | LP diffusion tube; deps Poly-Si on Au wf | MRL 718 | 65 | run+70/um | 74 |
58 | TRL | Diffusion | 39-4F Entry Diffusion | B3-DryOx | atmosph. diffusion tube | annealing | MRL 718 | 25 | run | 39 |
59 | TRL | Diffusion | 39-4F Entry Diffusion | B4-Poly | low pressure diffusion tube | LPCVD polysilicon | MRL 718 | 65 | run+70/um | 74 |
60 | TRL | Photo | 39-4F External-Photo | Balzer-Elionix | small sputterer | metal coats samples for e-beam imaging | Balzer | 8 | hour+material | 12 |
61 | TRL | Photo | 39-4F Photo-North | coater | spinner | coats PR | Solitec 5110 | 2 | wafer | 10 |
62 | TRL | Metrology | 39-4F Main-North | dek-NoAu | profilometer | measures surface roughness, no-gold wafers only | Sloan Dektak | 2 | hour | 4 |
63 | TRL | Metrology | 39-4F Photo-South | dektak-XT | profilometer | measures surface roughness | Sloan Dektak II | 2 | hour | 4 |
64 | TRL | Photo | 39-4F Photo-North | develop-Brewer | spin developer | develops PR | Brewer Science Cee-200CBX | 2 | wafer+material | 10 |
65 | TRL | Deposition | 39-4F Deposition | eBeamAu | metal evaporator | Au,Ag,Al,Cr,AuGe,Co,Pt,Si,Ti,Zr,W,Er,Mo,SiO2,Ta,Pd,Ni,Ge,Sn | Temescal VES2550 | 20 | run+material | 27 |
66 | TRL | Deposition | 39-4F External-Deposition | eBeamFP | metal evaporator | Au,Al,Cr,Pt,Ti, | Temescal FC2000 | 20 | run+material | 27 |
67 | TRL | Metrology | 39-4F Main-North | ellipsometer-TRL | ellipsometer | measures film thickness & index | Gaertner | 2 | hour | 4 |
68 | TRL | Photo | 39-4F Bonding | EV501 | wafer aligner/bonder | aligns & bonds wafers (fusion,anodic,thermo-compression) | Electronic Visions | 6 | wafer | 9 |
69 | TRL | Photo | 39-4F Bonding | EV620 | wafer aligner/bonder | aligns & bonds wafers (fusion,anodic,thermo-compression) | Electronic Visions | 6 | wafer | 9 |
70 | TRL | Photo | 39-4F Photo-North | EV-LC | mask aligner | contact, w/IR | Electronic Visions 620 | 6 | wafer | 9 |
71 | TRL | Metrology | 39-4F Main-North | Filmetrics-TRL | thin film measurement system | measures film thickness | Filmetrics F-20 | 1 | hour | 2 |
72 | TRL | Metrology | 39-4F Main-North | FLX | non-contact profiler (≤8" wf) | measures wafer bow | KLA-Tencor FLX | 5 | hour | 10 |
73 | TRL | Deposition | 39-428 | GrapheneBlackMagic | plasma chamber | deposits carbon nanotubes from acetylene | Aixtron | 7 | wafer | 14 |
74 | TRL | Wet | 39-4F Hallway | Greenflo | wet station | wet etching; green & red sides | Reynolds Tech Greenflo | 25 | run | 32 |
75 | TRL | Metrology | 39-4 | Hall-probe | probe tester | electrical characterization | Rucker/Kolls 1032-HP4062B | 2 | hour | 4 |
76 | TRL | Photo | 39-4F External-Photo | Heidelberg | direct-write laser | laser-writes on photoresist | Heidelberg DWL-66 | 10 | hour | 16 |
77 | TRL | Photo | 39-4F Photo-North | HMDS-TRL | bake oven | for hexamethyldisilazane (an adhesion promoter) | 0 | wafer | 10 | |
78 | TRL | Photo | 39-4F Photo-South | hotplate1 | hotplate | for post & pre-baking SU8 & PZT at ≤300oC | 1 | wafer | 2 | |
79 | TRL | Photo | 39-4F Photo-South | hotplate2 | hotplate | for post & pre-baking SU8 & PZT at ≤300oC | 1 | wafer | 2 | |
80 | TRL | Photo | 39-4F Photo-South | hotplate300 | hi-T hotplate | for post & pre-baking SU8 & PZT at ≤400oC | 1 | wafer | 2 | |
81 | TRL | Metrology | 39-4F Main-North | IV-probe | curve tracer w/probe | measures IV characteristics | Tektronics | 2 | hour | 4 |
82 | TRL | Etch | 39-4F Main-North | LAM590-TRL | plasma etcher | for oxide [gases=CHF3,CF4,He,O2] | LAM 590 | 7 | wafer | 14 |
83 | TRL | Photo | 39-4F Photo-South | MLA-150 | mask-less exposure | direct write | Heidelberg MLA-150 | 14 | wafer | 28 |
84 | TRL | Metrology | 39-4F Bonding | nanospec | thin film measurement system | measures film thickness | Nanometrics AFT 010-0180 | 2 | hour | 4 |
85 | TRL | Photo | 39-4F Photo-North | OAI-flood | UV flood exposure | flood exposes for Image Reversal | OAI LS30 | 0 | wafer | 0 |
86 | TRL | Deposition | 39-4F Main-North | parylene | parylene coater | coats wafers w/parylene | SCS Labcoater2 | 5 | wafer | 10 |
87 | TRL | Deposition | 39-562 | pentacene | pentacene system | deposits pentacene | 5 | wafer | 10 | |
88 | TRL | Wet | 39-4F Main-North | photo-wet-Au | wet station | wet etch photoresist | Semifab WPS-800 | 25 | run | 32 |
89 | TRL | Wet | 39-4F Main-North | photo-wet-l | wet station | wet etch photoresist | Semifab WPS-800 | 25 | run | 32 |
90 | TRL | Wet | 39-4F Main-North | photo-wet-r | wet station | wet etch photoresist | Semifab WPS-800 | 25 | run | 32 |
91 | TRL | Etch | 39-4F Main-North | plasmaquest | ECR-RIE | etches dielectrics on III-Vs, polyimide, etc | Plasmaquest Series 11 Model 145 | 7 | wafer+thickness | 14 |
92 | TRL | Photo | 39-4F External-Photo | PMMAspinner | PMMA spinner | coats wafers w/PMMA | Cee Equipment Co. (Brewer Science); model C-100 | 3 | wafer | 6 |
93 | TRL | Photo | 39-4F Photo-North | postbake | oven | to bake photoresist after developing | Blue DDC-146C | 0 | wafer | 3 |
94 | TRL | Photo | 39-4F Photo-North | prebakeovn | oven | to bake photoresist before exposure | 0 | wafer | 3 | |
95 | TRL | Deposition | 39-4F Photo-South | PZTcoater | PZT coater | deposits PZT | Specialty Coating Systems, Spin Coater 6700 Series | 5 | wafer | 10 |
96 | TRL | Deposition | 39-4F Photo-South | PZTfurnace | PZT furnace | anneals PZT | Thermolyne Furnace, 6000 | 5 | run | 7 |
97 | TRL | Diffusion | 39-4F Main-South | rca-TRL | wet station | RCA clean | 25 | run | 39 | |
98 | TRL | Diffusion | 39-4F Main-South | RTA-HiT | rapid thermal annealer | for III-Vs | Annealsys150 | 4 | wafer | 5 |
99 | TRL | Etch | 39-4F Main-North | SAMCO | ICP-RIE | etches dielectrics on III-Vs | SAMCO 200iP | 7 | wafer+thickness | 14 |
100 | TRL | Wet | 39-4F Photo North | SolventHood-TRL | Fume hood for solvents | Soaking of samples, metal liftoff | 25 | run | 32 | |
101 | TRL | Etch | 39-4F Main-South | sts1 | Si deep trench etcher | etches deep features in Si (4" wafers) | STS/Multiplex ICP non-MESC | 7 | wafer+thickness | 14 |
102 | TRL | Etch | 39-4F Main-South | sts2 | Si deep trench etcher | etches deep features in Si (6" wafers) | STS/Multiplex ICP MESC | 7 | wafer+thickness | 14 |
103 | TRL | Deposition | 39-4F Entry Diffusion | sts-CVD | dielectric plasma dep | deposits oxide, nitride, Si carbide | STS/Multiplex PECVD | 7 | wafer+thickness | 14 |
104 | TRL | Etch | 39-4F Main-South | sts-Pegasus | Si deep trench etcher | etches deep features in Si (6" wafers) | Pegasus | 7 | wafer+thickness | 14 |
105 | TRL | Photo | 39-4F Photo-South | SU8oven | oven | to bake SU8 | 0 | wafer | 0 | |
106 | TRL | Photo | 39-4F Photo-South | SU8spinner | oven | to coat with SU8 | 3 | wafer+material | 6 | |
107 | TRL | Photo | 39-4F Bonding | TBM-8 | alignment measurement tool | measure wafer alignment, pre-bonding | Electronic Visions | 2 | hour | 4 |
108 | TRL | Etch | 39-4F Photo-South | UVozone-Au | ozone clean plate | removes organics w/ozone created by UV light | 2 | hour | 4 | |
109 | TRL | Photo | 39-4F Photo-South | varTemp | oven | to bake photoresist; temp can be changed | 0 | wafer | 0 | |
110 | TRL | Metrology | 39-4F Main-North | WYKO | non-contact profiler | surface topology; up to 500um vertical scan | Wyko NT9800 | 5 | hour | 10 |
111 | TRL | Etch | 39-4F Main-South | XeF2 | XeF2 vapor system | etches Si w/XeF2 for structural release | Pelchem | 20 | hour | 25 |