Li-Shiuan Peh

Graduate Students

  • O.Chen,Research Assistant, EECS
  • B.Daya,Research Assistant, EECS
  • J.Gao, Research Assistant, EECS
  • T.Krishna, Research Assistant, EECS
  • W.Kwon, Research Assistant, EECS
  • P.Ortiz, Research Assistant, EECS
  • S.Park, Research Assistant, EECS (co-advised with A. Chandrakasan)
  • A.Sivaraman, Research Assistant, EECS
  • S.Subramanian, Research Assistant, EECS

Support Staff

  • M.Rebelo, Administrative Assistant

Publications

Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Suvinay Subramanian, Anantha Chandrakasan and Li-Shiuan Peh, “SMART: A Single-Cycle Reconfigurable NoC for SoC Applications”, In Proceedings of Design Automation and Test in Europe (DATE), Grenoble, France, March 2013.

Sunghyun Park, Masood Qazi, Li-Shiuan Peh and Anantha Chandrakasan, “40.4fJ/bit/mm Low-Swing On-Chip Signaling with Self-Resetting Logic Repeaters embedded within a mesh NoC in 45nm SOI CMOS”, In Proceedings of Design Automation and Test in Europe (DATE), Grenoble, France, March 2013.

Tushar Krishna, Chia-Hsin Owen Chen, Woo Cheol Kwon and Li-Shiuan Peh, “Breaking the On-Chip Latency Barrier Using SMART”, In Proceedings of the 19th International Symposium on High-Performance Computer Architecture (HPCA), Shenzhen, China, February 2013.

Pravein Kannan, Padmanabha Venkatagiri Seshadri, Mun-Choon Chan, A L Ananda and Li-Shiuan Peh, “Low Cost Crowd Counting using Audio Tones,” In 10th ACM Conference on Embedded Networked Sensor Systems (SENSYS), November 2012.

Jason Gao, Anirudh Sivaraman, Niket Agarwal, Haoqi Li and Li-Shiuan Peh, “DIPLOMA: Consistent and Coherent Shared Memory over Mobile Phones,” In 30th IEEE International Conference on Computer Design (ICCD), September 2012.

Jacob Postman, Tushar Krishna, Christopher Edmonds, Li-Shiuan Peh, and Patrick Chiang , “SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects,” In IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Aug 2012.

Sunghyun Park, Tushar Krishna, Chia-Hsin Owen Chen, Bhavya K. Daya, Anantha P. Chandrakasan and Li-Shiuan Peh, “Approaching the Theoretical Limits of a Mesh NoC with a 16-Node Chip Prototype in 45nm SOI,” In 49th Design Automation Conference (DAC), San Fransisco, CA, June 2012.

George Kurian, Chen Sun, Chia-Hsin Owen Chen, Jason E. Miller, Lan Wei, Jurgen Michel, Dimitri Antoniadis, Li-Shiuan Peh, Lionel C. Kimerling, Vladimir Marko Stojanovic and Anant Agarwal, “Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore Processor System using Real Application Workloads,” In 26th International Parallel & Distributed Processing Symposium (IPDPS), Shanghai, China, May 2012.

Sunghyun Park, Tushar Krishna, Chia-Hsin Owen Chen, Bhavya K. Daya, Anantha P. Chandrakasan and Li-Shiuan Peh, “Approaching the Theoretical Limits of a Mesh NoC with a 16-Node Chip Prototype in 45nm SOI,” In 49th Design Automation Conference (DAC), San Fransisco, CA, June 2012.

Huayong Wang, Li-Shiuan Peh, Emmanouil Koukoumidis, Tao Shao and Mun Choon Chan, “Meteor Shower: a reliable stream processing system for commodity data centers”. In 26th International Parallel & Distributed Processing Symposium (IPDPS), Shanghai, China, May 2012.

Chen Sun, Chia-Hsin Owen Chen, George Kurian, Lan Wei, Jason Miller, Anant Agarwal, Li-Shiuan Peh and Vladimir Stojanovic, “DSENT — A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling,” To appear in 6th International Symposium on Networks-on-Chip (NOCS), Lyngby, Denmark, May 2012.

Emmanouil Koukoumidis, Margaret Martonosi and Li-Shiuan Peh “Leveraging Smartphone Cameras for Collaborative Road Advisories,” IEEE Transactions on Mobile Computing, 2012.