Li-Shiuan Peh

Graduate Students

  • N. Agarwal, Visiting Student, EECS
  • K. Aisopos, Visiting Student, EECS
  • O. Chen,ResearchAssistant, EECS
  • B. Daya,Research Assistant, EECS
  • K. Ko, Visiting Student, EECS
  • E. Koukoumidis, Visiting Student, EECS
  • T. Krishna,Research Assistant, EECS
  • S. Park, Research Assistant, EECS

Support Staff

  • M. Rebelo, Administrative Assistant

Publications

O. Chen,  N. Agarwal,  T.Krishna,  L-S. Peh, K-H. Koo, K. Saraswat, “Physical vs. Virtual Express Topologies with Low-Swing Links for Future Many-core NoCs”, In International Symposium on Networks on Chip (NOCS), Grenoble, France, May 2010.

R. Ramanujam,  V. Soteriou,  B. Lin,  L-S. Peh, “Design of a High-Throughput Distributed Shared-Buffer NoC Router”, In International Symposium on Networks on Chip (NOCS), Grenoble, France, May 2010.

N. Agarwal, L-S. Peh and N. K. Jha,  “In-Network Coherence Filtering: Snoopy Coherence without Broadcasts”, In International Symposium on Microarchitecture (MICRO), New York City, New York, December 2009

Microsystems Technology Laboratories | Massachusetts Institute of Technology | 60 Vassar Street, 39-321 | Cambridge, MA 02139 | http://www.mtl.mit.edu
Copyright © Massachusetts Institute of Technology. | Information on MIT Accessibility