Vladimir Stojanović

Collaborators

  • E. Alon, UC Berkeley
  • K. Asanović, UC Berkeley
  • T.-J. King Liu, UC Berkeley
  • D. Marković, UC Los Angeles
  • C-K. K. Yang, UC Los Angeles

Graduate Students

  • Y-H. Chen, Research Assistant, EECS
  • S. Dutta, Research Assistant, EECS
  • H. Fariborzi, Research Assistant, EECS
  • M. Georgas, Research Assistant, EECS
  • J. Leu, Research Assistant, EECS
  • Y. Li, Research Assistant, EECS
  • Z. Li, Research Assistant, EECS
  • B. Moss, Research Assistant, EECS
  • O. Salehi-Abari, Research Assistant, EECS
  • R. Sredojević, Research Assistant, EECS
  • A. Suleiman, Research Assistant, EECS
  • C. Sun, Research Assistant, EECS
  • S. Urosević, Research Assistant, EECS

Publications

M. Georgas, J. Orcutt, R. J. Ram, and V. Stojanović,A Monolithically-Integrated Optical Receiver in Standard 45-nm SOI [Invited],“ IEEE Journal of Solid-State Circuits, vol. 47, no. 7, 10 pages, July 2012.

J.S. Orcutt, B. Moss, C. Sun, J. Leu, M. Georgas, J. Shainline, E. Zgraggen, H. Li, J. Sun, M. Weaver, S. Urosevic, M. Popovic, R. J. Ram and V. Stojanović, “An Open Foundry Platform for High-Performance Electronic-Photonic Integration,” Optics Express, vol. 20, no. 11, pp. 12222-12232, May 2012.

T.-J. Liu, K., D. Marković, V. Stojanović and E. Alon,The Relay Reborn,” [Invited] IEEE Spectrum, vol. 49, no. 4, pp. 40-43, April 2012.

J. S. Orcutt, J. S., S. D. Tang, S. Kramer, H. Li, V. Stojanović, and R. J. Ram, “Low-loss polysilicon waveguides fabricated in an emulated high-volume electronics process,” Optics Express, vol. 20, no. 7, pp. 7243–7254, March 2012.

F. Chen, A.P. Chandrakasan, and V. Stojanović “Design and Analysis of a Hardware-Efficient Compressed Sensing Architecture for Data Compression in Wireless Sensors,” IEEE Journal of Solid-State Circuits, vol. 47, no. 3, pp. 744-756, March 2012.

F. Lim and V. Stojanović “Non-Asymptotic Analysis of Compressed Sensing Random Matrices : An U-Statistics Approach,” accepted for publication at the IEEE International Conference on Communications, Ottawa, Canada, 6 pages, June 2012.

J.S. Orcutt, B. Moss, C. Sun, J. Leu, M. Georgas, J. Shainline, H. Li, J. Sun, M. Weaver, E. Zgraggen, M. Popovic, R. J. Ram and V. Stojanović, “Low Loss Waveguide Integration within a Thin-SOI CMOS Foundry,” to be presented at IEEE Optical Interconnects Conference, Santa Fe, NM, 2 pages, May 2012.

E. Timurdogan, A. Biberman, D. Trotter, C. Sun, M. Moresco, V. Stojanovic, M. Watts, “Automated Wavelength Recovery for Microring Resonators,” accepted for publication at the Optical Society of America – CLEO/QELS Conference, San Jose, CA, 2 pages, May 2012.

G. Kurian, C. Sun, O. Chen, J. Miller, L. Wei, J. Michel, D. Antoniadis, L-S. Peh, L. C. Kimerling, V. Stojanović and A. Agarwal, “Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore Processor System using Real Application Workloads,” accepted for publication at the 26th IEEE International Parallel & Distributed Processing Symposium, Shanghai, China, 12 pages, May 2012.

C. Sun, O. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L.-S. Peh, and V. Stojanović, “DSENT – A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling,” accepted for publication at the 6th ACM/IEEE International Symposium on Networks-on-Chip, Lyngby, Denmark, 26 pages, May 2012.

O. Salehi-Abari, F. Chen, F. Lim, and V. Stojanović,”Performance Trade-offs and Design Limitations of Analog-to-Information Converter Front-Ends,” IEEE International Conference on Acoustics, Speech and Signal Processing, Kyoto, Japan, 4 pages, March 2012.

J.C. Leu and V. Stojanović,Injection-Locked Clock Receiver for Monolithic Optical Link in 45nm, “Asian Solid-State Circuits Conference, Jeju, Korea, pp. 149-152, November 2011.

H. Fariborzi, F. Chen, R. Nathanael, J. Jeon, T-J. K. Liu, and V. Stojanović,Design and Demonstration of Micro-Electro-Mechanical Relay Multipliers,“ Asian Solid-State Circuits Conference, Jeju, Korea, pp. 117-120, November 2011.

M. Georgas, J.C. Leu, B. Moss, C. Sun, and V. Stojanović, “Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects,” [Invited] IEEE Custom Integrated Circuits Conference, San Jose, CA, 8 pages, September 2011.

M. Georgas, J. Orcutt, R. J. Ram, and V. Stojanović,A Monolithically-Integrated Optical Receiver in Standard 45-nm SOI,“ European Solid-State Circuits Conference, Helsinki, Finland, pp. 407 – 410, September 2011.