Anantha Chandrakasan

Graduate Students

  • G. Angelopoulos, Res. Asst., EECS (co-supervised with Prof. M. Medard)
  • S. Bandyopadhyay, Res. Asst., EECS
  • F. Chen, Res. Asst., EECS (co-supervised with Prof. V. Stojanovic)
  • K. Chen, Res. Asst., EECS (co-supervised with Prof. C. Sodini)
  • N. Desai, Res. Asst., EECS
  • D. El-Damak, Res. Asst., EECS
  • S. Ha, Res. Asst., EECS
  • B. Lam, Res. Asst., EECS
  • K-J. Lee, Res. Asst., EECS (co-supervised with Prof. J. Kong)
  • S. Lee, Res. Asst., EECS (co-supervised with Prof. H. Lee)
  • P. Mercier, Res. Asst., EECS
  • P. Nadeau, Res. Asst., EECS
  • A. Paidimarri, Res. Asst., EECS
  • S. Park, Res. Asst., EECS (co-supervised with Prof. L. Peh)
  • M. Qazi, Res. Asst., EECS
  • R. Rithe, Res. Asst., EECS
  • M. Sinangil, Res. Asst., EECS
  • Y. Sinangil, Res. Asst., EECS
  • M. Tikekar, Res. Asst., EECS
  • M. Yip, Res. Asst., EECS

Postdoctoral Associates

  • C-T Huang
  • N. Ickes
  • L. Wei

Visiting Scientists

  • D. Buss (Texas Instruments)
  • F.  Pappalardo (ST Microelectronics)
  • Z. Ru (University of Twente)
  • Prof. H-J Yoo (KAIST)
  • Prof. J. Yoo (Masdar Institute)

Visiting and Undergraduate Students

  • L. Yan (KAIST)
  • R. Jin
  • W. Zheng

Support Staff

  • M. Flaherty, Senior Administrative Assistant

Publications

J.L. Bohorquez, M. Yip, A. P. Chandrakasan, J. L. Dawson, “A Biomedical Sensor Interface with a sinc Filter and Interference Cancellation,” IEEE Journal of Solid-State Circuits, vol. 46, no. 4, pp 746-756, April 2011.

M. Qazi,  M. E. Sinangil, A. P. Chandrakasan, “Challenges and Directions for Low-Voltage SRAM,” Design & Test of Computers, IEEE , vol.28, no.1, pp.32-43, Jan.-Feb. 2011.

M. Qazi, K. Stawiasz, L. Chang, A. P. Chandrakasan, “A 512kb 8T SRAM Macro Operating Down to 0.57V With an AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS,” IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp 85-96, Jan 2011.

Y.K. Ramadass, A. P. Chandrakasan, “A Battery-Less Thermoelectric Energy Harvesting Interface Circuit With 35mV Startup Voltage,” IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp 333-341, Jan 2011.

V. Sze, A. Chandrakasan, “A Highly Parallel and Scalable CABAC Decoder for Next Generation Video Coding,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 126-127, Feb 2011.

G. Gammie, N. Ickes, M. Sinangil, R. Rithe, J. Gu, A. Wang, H. Mair, S. Datla, B. Rong, S. Honnavara-Prasad, L. Ho, G. Baldwin, D. Buss, A. Chandrakasan, U. Ko, “A 28nm 0.6V Low-Power DSP for Mobile Applications,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 132-133, Feb 2011.

M. Yip, A. Chandrakasan, “A Resolution-Reconfigurable 5-to-10b 0.4V-to-1V Power Scalable SAR ADC,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 190-191, Feb 2011.

M. Qazi, M. Clinton, S. Bartling, A. Chandrakasan, “A Low-Voltage 1Mb FeRAM in 0.13um CMOS Featuring Time-to-Digital Sensing for Expanded Operating Margin in Scaled CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 208-209, Feb 2011.

M. Sinangil, H. Mair, A. Chandrakasan, “A 28nm High-Density 6T SRAM wth Optimized Peripheral-Assst Circuits for Operation Down to 0.6V,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 260-261, Feb 2011.

S. Bandyopadhyay, Y. K. Ramadass, A. Chandrakasan, “20uA to 100mA DC-DC Converter with 2.8 to 4.2V Battery Supply for Portable Applications in 45nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), pp. 386-387, Feb 2011.

R. Rithe, S. Chou, J. Gu, A. Wang, S. Datla, G. Gammie, D. Buss, A. Chandrakasan, “Cell Library Characterization at Low Voltage using Non-linear Operating Point Analysis of Local Variations,” International Conference on VLSI Design, Jan 2011.